Follow up answers to Josh Strauss on CCMP – March 16, 2019

Josh,

Thanks for the questions.

In terms of your margin question, I’ll break it down into two parts that should address what you’re driving at.

1)    How have margins risen so high and are they sustainable?

a)   The best comp for CCMP is Versum’s Materials Segment which is about 2/3 of Versum’s revenues.  That segment has adjusted EBITDA margins of 38% so CCMP’s 31.5% margins do not seem out of line with the most appropriate division of its best public peer.

b)   CCMP has been benefitting from an improving product mix. CCMP’s has made improvements in its slurries and in its pads (in the latter by way of the Nexplanar acquisition which provided advanced CMP pads).

c)    CCMP has benefitted from excellent operating leverage. To wit Opex as a % of sales has declined approximately 500bps over the last five years principally due to R&D falling from ~$60mm to $52mm while sales have grown 39% over that same period.  Also, COGS has dropped as a % of sales by ~500bps over the last five years. Why this has happened is two-fold.  One goes back to better product mix as highlighted above.  The second is that intensity of use of their products at leading edge nodes has picked up considerably.  For instance, going from planar to 3D NAND led to a jump of approximately 2x in slurry use for NAND production.  While it is not a linear function (for instance if layer count goes up 50%, slurry use does not go up 50%), but in my discussions with the Company I’ve confirmed that as layer count goes up so does slurry use.  The higher consumable intensity at more exacting nodes also appears to be particularly true in the electronic chems business (formerly KMG Chemicals).  At the smaller transistor sizes/more advanced nodes, manufacturing becomes much more electronic chems intensive.

2)   Are these margins sustainable?

a)   As highlighted in my write-up, CCMP’s business is more leveraged to volume of semis and more need for their products at the more leading-edge nodes.   I don’t see the volume of semi sales (semi units) declining in any material way at least for the medium term or absent a global recession.  The secular trends towards more semi content growth across product types (given IoT, AI, data analytics, big data, cloud, mobile communication/computing), suggest that semiconductor unit growth is likely to grow unabated (note unit growth is clearly different from revenue growth for the Semi industry – for instance SIA is forecasting -3% revenue growth for semis in 2019 based on weaker memory revenues, but unit growth should continue to be positive). Further, I don’t see the industry slowing in any material way its moves to each next leading-edge node.  The big consumers of leading-edge semis (hyperscalers, enterprise hardware manufacturers and major consumer electronics companies) have too much to lose if they fall behind and don’t keep on delivering more robust computing products that require higher performing semis.

b)   Inevitably we’ll have another global growth shock (circa 2000 or 2008/2009) that will cause unit volumes to decline and spend on semi node transitions to pause.  Arguably we’re going through a minor one of those shocks now with demand for semis directly impacted by the slowdown in China caused by uncertainty over US / China trade tensions.  But a) I believe we’re likely to encounter a “bull whip” effect of demand snap back when these US/China tensions ratchet down and b) CCMP should be less impacted again than WFE companies or, say, memory semis because again their product usage is 1) leveraged to volume of semis manufactured 2) leveraged to semi complexity (the more complex the more need for their products) and 3) is not typically the area semi manufacturers are going to focus on cutting as much because the cost of their products is so small relative to all the other costs in the semi-manufacturing process and 4) is so critical to the semi manufacturing process.

How much risk is an equity investor taking on CCMP when it’s a junk credit in the semi/semi related industry?

So CCMP is Ba2/BB.  The Company had no debt before the KMG Chemicals acquisition and the Company took on $1bn of debt in that deal.  On a Net Debt to EBITDA basis using 2019 EBITDA guidance the company has a multiple of Net Debt/EBITDA of 2.1x.  If you go back to the model in my write-up, all those EBITDA numbers come directly from the forecasts in CCMP’s S-4 that was filed in conjunction with the KMG Chemicals acquisition.  The only change I made to EBITDA numbers is I added in the synergies that the company forecast which aren’t dramatic ($25mm of run rate synergies by year two – they had already achieved $13mm of run rate synergies after the first six weeks of the combined company). D&A, Interest Expense and Tax Rate for 2019 are all from Company guidance provided in the last earnings call.  In my model I dedicate all the FCF after dividends in 2019 and half of the projected free cash flow after dividends (assuming a dividend growth rate >9%) in 2020-2022 to debt paydown and the other half to share repurchase.

As you can see here, leverage drops very quickly and so does the EV/EBITDA multiple (assuming that the stock doesn’t propel higher – which I believe is highly unlikely).  Even if EBITDA doesn’t grow from the 2019 guided level (which would be a big surprise), the company should be able to dedicate ~$120mm per year in FCF to debt paydown. In that scenario, it only takes three years to cut the Net Debt to EBITDA in half (from 2x to 1x).

Bigger picture, the variability in CCMP’s business historically has been very low and it has everything to do with the secular nature of the demand for more semis and more sophisticated semis that all require more of CCMP’s consumables.  CCMP is effectively a “Technology Staple” company for the semi industry.  This company could handle appreciably more leverage and I believe it’s in fact possible that some plucky private equity firm comes to the same conclusion and makes an approach to the Company.

In terms of my rankings of my favorite investments across the semi sector and why?

Appreciate the question.  Of the four that I’ve disclosed on SumZero, I’d rank them in the following order:  Lam Research, Cabot Microelectronics, Applied Materials and then finally Micron. Before providing the qualitative, I think a good way to think about the opportunity in each of those investment is to provide the upside in each of those equities and then risk adjust by dividing the upside in each investment by the equity’s respective Beta.

By making this risk adjustment, in many ways I’m helping incorporate the greater likelihood that I (or any other human) is likely to make an investment/trading mistake given the volatility in a given security.  As anyone who knows Micron and the memory industry, while the upswings can be awesome and whiplash inducing, the downswings can be characterized in exactly the same way.  Also, the reason why I’m looking at multiples off-of 2021 EPS is that 12 months from now we’ll be in 2020 and should be looking to 2021 forward earnings.

In terms of the qualitative, here’s the summary:

–      Lam is the clear leader in vertical scaling.  That’s the way NAND has progressed (vertically) and the way logic appears to be going (stacking logic layers on the chip).  I believe that Lam’s new CEO was the best COO in the business and is fast on his way to being recognized as the best CEO in the business.  Lam is all but assured to continue its historical market share gains over the next 3-5 year period (with a hiatus from that this year due to it being a relatively weaker memory spend year).  Lam also seems to be doing the best job of any in the group on its Installed Base business (see my write-up from March 10) and I don’t think the market has digested how well Lam is likely to perform, operationally, over the next few years.

–      Cabot Microelectronics is my newest add to our core strategy and I am highly bullish on its prospects.  It’s either going to be a multi-year compounder or taken out within the next 24 months in my opinion.  I believe that those looking for “a lesser volatility” play in the semi/semi related space that’s also an obvious, high probability takeout candidate should be heavily drawn to the Company.  There are a lot of ways to win with CCMP.

–      Applied distinguishes itself in new materials engineering (cobalt instead of copper?), has been highly aggressive in share repurchase, has historically higher share in logic and foundry and has a very competent management team.  They are also cheaper than Lam and tend to benefit from being one of the top two best known WFE stocks (the other being ASML) whenever the market comes back around to looking favorably on the WFE sector.  That said, I have always had some reservations about their display business and I believe that Lam has been gaining some share at AMAT’s expense.

–      The biggest challenges for Micron are primarily near-term industry dynamics and the high volatility inherent in Micron’s stock.  I have conviction that Micron will do dramatically better in this downturn than they’ve done in the prior few downturns. But I temper that conviction with the recognition that the Sell-Side is probably too optimistic on its estimates for the next (at least) two quarters.  The way I’ve come to terms with the disconnect between the medium and near-term outlooks as well as the high degree of volatility is to make sure that it’s a sub 5% weighting given the current dynamics underlying the stock. Of course, things are always subject to change.  Although this isn’t my typical modus operandi, Micron is a position that I’m much more prone to “trading” than just “buy and hold investing.”

Our core strategy, if it’s not obvious by this point, is a concentrated one.  Nine of the thirteen investments currently in the strategy are positions that represent greater than 5% of the portfolio.